当前位置: 首页 > news >正文

寻找销售团队外包站长工具seo查询5g5g

寻找销售团队外包,站长工具seo查询5g5g,wordpress 中文 tag,兰州市城乡建设局网站公布的信息由于fpga实现除法相对复杂,故将除法变为乘法再移位。因此每种方法对图像输入数据均分3步进行,极其有效信号打三拍处理。 timescale 1ns / 1ps // // Description: 彩色图像灰度化 // module image_rgb2gray(input wire clk ,input wir…

由于fpga实现除法相对复杂,故将除法变为乘法再移位。因此每种方法对图像输入数据均分3步进行,极其有效信号打三拍处理。

`timescale 1ns / 1ps
//
// Description: 彩色图像灰度化
//
module image_rgb2gray(input  wire          clk       ,input  wire          reset     ,input  wire          valid_i   ,input  wire [23:0]   img_data_i,output wire          valid_o   ,output wire [23:0]   img_data_o 
);//常量parameter MODE = 1;  //0表示加权平均法,1表示平均法 //Y=0.299*R十0.587*G+0.114*Bparameter C0 = 9'd306; //0.299*1024;parameter C1 = 10'd601;//0.587*1024;parameter C2 = 7'd117; //0.114*1024;//参数声明wire [7:0] R, G, B;assign {R, G, B} = img_data_i;generate if (MODE) begin//1-平均法 1/3 * 512 = 171reg valid_d1;   reg [9:0] RGB_avr;reg valid_d2;   reg [16:0] RGB_avr_m;reg valid_d3;   reg [7:0] RGB_new;always@(posedge clk or posedge reset) beginif(reset) beginvalid_d1 <= 'b0;RGB_avr <= 'b0;end else beginvalid_d1 <= valid_i;RGB_avr <= R + G + B;endend//最大值不可能超过255*3*171 = 17'd130815always@(posedge clk) beginRGB_avr_m <= RGB_avr * 8'd171;endalways@(posedge clk or posedge reset) beginif(reset) beginvalid_d2 <= 'b0;end else beginvalid_d2 <= valid_d1;endend//最大值不可能超过255always@(posedge clk or posedge reset) beginif(reset) beginvalid_d3 <= 'b0;RGB_new <= 'b0;end else beginvalid_d3 <= valid_d2;RGB_new <= RGB_avr_m[16:9];endendassign valid_o = valid_d3;assign img_data_o = {3{RGB_new}};end else begin//0-加权平均法reg valid_d1;reg [16:0] Y_R_m;reg [17:0] Y_G_m;reg [14:0] Y_B_m;reg valid_d2;reg [17:0] Y_s;//最大值,当RGB都等于255时,(C0 + C1 + C2)*255 = 1024*255;不会出现负数reg valid_d3;reg [7:0] Y;always@(posedge clk ) beginY_R_m <= R*C0;Y_G_m <= G*C1;Y_B_m <= B*C2;endalways@(posedge clk or posedge reset) beginif(reset) beginvalid_d1 <= 0;end else beginvalid_d1 <= valid_i;endend    always@(posedge clk or posedge reset) beginif(reset) beginY_s <= 0;valid_d2 <= 0;end else beginif(valid_d1) beginY_s <= Y_R_m + Y_G_m + Y_B_m;endvalid_d2 <= valid_d1;endendalways@(posedge clk or posedge reset) beginif(reset) beginY <= 0;valid_d3 <= 0;end else beginif(valid_d2) beginY <= Y_s[17:10];endvalid_d3 <= valid_d2;endend  assign valid_o = valid_d3;assign img_data_o = {3{Y}};end        endgenerateendmodule

http://www.cadmedia.cn/news/5813.html

相关文章:

  • 城乡与建设厅网站上海网络推广培训学校
  • 泉州网站设计网络营销活动策划方案
  • 提供秦皇岛网站建设网页模板怎么用
  • 贵州公明建设投资咨询有限公司官方网站汕头seo优化项目
  • 网站建设合同按什么交印花税指数型基金是什么意思
  • 国家建设部投诉网站营销公司
  • 办网站租服务器百度系优化
  • 广东省两学一做网站友情链接平台网站
  • 中国近期的军事大新闻湖南正规seo公司
  • wordpress单页面主题百度seo免费推广教程
  • 网站设计步骤的教学设计服装市场调研报告范文
  • 资兴市网站建设专业最近发生的热点事件
  • app用什么开发软件好seo按照搜索引擎的
  • 北京建站公司兴田德润信任百度竞价推广公司
  • html个人简历模板长沙网站优化方案
  • 网站建设实训总结300槐荫区网络营销seo
  • 专业网站制作公司咨询代运营一般收费
  • 企业网站建站的专业性原则是指网站信息内容应该体现建站目的和目标群体重庆排名seo公司
  • 网站排名不稳定怎么办南昌百度推广联系方式
  • 怎么建设自己的卡盟网站浙江seo外包
  • 襄垣城乡建设管理局的网站关键字c语言
  • 茶叶网站建设公司帆软社区app
  • b2c的盈利模式是什么seo推广公司排名
  • 百度短链接生成器福州seo建站
  • 临沂建设局网站质量三监督怎样创建自己的电商平台
  • 网站建设 每年费用企业邮箱登录
  • 网站规划与建设论文自动seo系统
  • 只做旧房翻新的装修公司seo1新地址在哪里
  • 郑州市政府网站官网百度最新财报
  • 经典wordpress网站备案查询平台官网